VHDL

VHDL sayısal devrelerin tasarlanması ve denenmesi amacıyla yaygın olarak kullanılan bir donanım tanımlama dilidir. Dilin adı "yüksek hızlı tümleşik devreler için donanım tanımlama dili" teriminin İngilizce karşılığı olan Very high speed integrated circuit Hardware Description Language tümcesindeki sözcüklerin baş harflerinin bir araya getirilmesiyle oluşturulmuştur.

VHDL'nin özellikleri aşağıdaki gibidir:

VHDL'le programlama

VHDL ile programlama üç temel bölümden oluşur;

  1. Varlık (İng. entity)
  2. Mimârî (İng. architecture)
  3. Usûl ve yordam (İng. process)
	entity example_xor is	-- Tanımlama satırı
		port (a, b: in bit;
			c: out bit);
	end entity
	architecture my_arch of example_xor is
	begin
		c <= a xor b 
	end my_arch
	package body OR_PK is 
   
		procedure BIR_ARTIRICI (variable veri : inout INT8) is 
		begin 
			if (sayy >= MAKSIMUM) 
				then	sayy := SIFIR; 
				else	sayy := sayy + 1; 
			end;	-- if
		end BIR_ARTIRICI; 
	end OR_PK;

Ayrıca bakınız

This article is issued from Vikipedi - version of the 7/9/2013. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.